<html><head><meta http-equiv="Content-Type" content="text/html charset=utf-8"><meta http-equiv="Content-Type" content="text/html charset=utf-8"><meta http-equiv="Content-Type" content="text/html charset=utf-8"></head><body style="word-wrap: break-word; -webkit-nbsp-mode: space; -webkit-line-break: after-white-space;" class=""><div class=""><br class=""></div><div class="">This is a reminder of Lee Ehudin’s MS Presentation.</div><div class=""><br class=""></div><div class="">===========================</div><div class="">Department of Computer Science</div><div class="">The University of Chicago</div><div class=""><br class=""></div><div class="">Date: Thursday, May 25, 2017</div><div class=""><br class=""></div><div class="">Time: 10:30am</div><div class=""><br class=""></div><div class="">Location: <a href="https://maps.uchicago.edu/?location=Young+Memorial+Building" class="">Young 302</a> (5555 S. Ellis Avenue)</div><div class=""><br class=""></div><div class="">Bx/MS Candidate: Lee Ehudin</div><div class=""><br class=""></div><div class="">MS Advisor:  Hank Hoffman</div><div class=""><br class=""></div><div class="">MS Paper Title:  NEAT: A Tool for Automated Exploration of Approximate FPU Designs</div><div class=""><br class=""></div><div class="">Abstract:</div><div class=""><br class=""></div><div class="">Much recent research is devoted to exploring tradeoffs between computational accuracy and energy. In particular, a number of techniques have been proposed for producing and using approximate arithmetic units that return an inexact answer with greatly reduced energy consumption. As the number of approximate techniques increases, the options for creating approximate programs explodes, creating the need for tools that help programmers explore the effects of approximation and combine different approximation techniques to achieve the lowest energy consumption for an accuracy constraint or the best accuracy for an energy constraint. To address this need, we present NEAT: a PIN tool that automatically explores the accuracy-energy tradeoff space for floating-point computation. NEAT accepts one or more user-defined approximate floating-point implementations and rules for when to substitute different implementations. NEAT then computes the floating-point operations in an application using those implementations and rules. We evaluate NEAT through a case study on 8 different applications and compare a set of rules that allows only one floating-point implementation per program to a set of rules that allow one approximation per function. We find that more of the accuracy-energy design space can be explored with the per-function rules than the single floating-point implementation. We also find that data collected from smaller inputs using both sets of rules is highly correlated to data collected from moderately-sized inputs.</div><div class=""><br class=""></div><div class="">A copy of Lee’s MS paper is attached.</div><div class=""><br class=""></div><div class=""><br class=""></div><div class=""></div></body></html>